TTTC Header Image
TTTC's Electronic Broadcasting Service

IEEE TTEP '13 Tutorials Offered at ITC 2013
(TTEP 2013)

September 8-9, 2013
Disneyland Hotel – Anaheim, California, USA

http://www.itctestweek.org/papers/test-week-tutorials

Advance Discount Deadline August 16th!
CALL FOR PARTICIPATION

Scope -- Key Dates -- Registration -- TTEP Tutorials & Test Clinics

Scope

top

The Test Technology Educational Program (TTEP’13) of the TTTC is offering 16 half-day tutorials during the weekend preceding the ITC test week. This year, the TTEP tutorials will touch the most important topics of the test scenario, problems and solutions teached by recognized experts of the field. You can get detailed information on the TTEP website.

On Sunday the 8th you will have chance to attend tutorials concerning 3D-chip testing (either basic and advanced aspects of), analog testing (from mixed-signal to high-speed IO testing) and hardware security (encompassing Design for Trust and technique for certifying trustworthiness and genuineness of ICs). On Monday the 9th, you may enjoy tutorials tackling traditional subjects, such as Delay, Low-Power, Hierarchical, System and Memory testing, or decide for tutorials discussing current techniques for data analysis, including data mining, statistical/adaptive testing and yield management; moreover, industry professionals and students struggling in the field of reliability can look to the tutorials oriented to the fault tolerance subject.

Register for Test Week Tutorials at the ITC Registration Page. Conference, tutorial and workshop registration can all be done with our easy to use on-line registration form.

Key Dates
top

Advance Discount Registration Deadline: August 16, 2013!

Registration
top
For more information on registration click here.
TTEP Tutorials & Test Clinics
top

Sunday -- Monday

September 8, 2013 (Sunday)
 
Tutorial 1 Testing of TSV-Based 2.5D- and 3D-Stacked ICs � Basic
Presenters Erik Jan MARINISSEN - IMEC (B), Krishnendu CHAKRABARTY - DUKE University (USA)

Stacked ICs with vertical interconnect containing fine-pitch micro-bumps and through-silicon vias (TSVs) are a hot-topic in design and manufacturing communities. These 2.5D- and 3D-SICs hold the promise of heterogeneous integration, inter-die connections with increased performance at lower power dissipation, and increased yield and hence decreased product cost. However, testing for manufacturing defects remains an obstacle and potential showstopper before 3D-SICs can become a reality. There are concerns about the cost or, even worse, feasibility of testing such TSV-based 3D chips. In this basic tutorial, we present key concepts in 3D technology, terminology, and benefits. We discuss design and test challenges and emerging solutions for 2.5D- and 3D-SICs. Topics to be covered include an overview of 3D integration and trendsetting products such as a 2.5D-FPGA and 3D-stacked memory chips, test flows and test content for 3D chips, advances in wafer probing reported by probe companies, modular testing and design-for-test architectures, and ongoing IEEE P1838 standardization efforts for test access.

 
Tutorial 2 Mixed-signal DfT & BIST: Trends, Principles, and Solutions
Presenters Stephen SUNTER - Mentor Graphics (CND)
 

We start by briefly looking at trends in process, design, and analog/mixed-signal testing, then in more detail at trends in ad hoc design-for-test (DfT) and analog defect simulation. We then review standardized DfT suitable for mixed-signal circuits, including IEEE 1149.1, .4, .6, .8, and 1687. The trend analysis concludes with an analysis of BIST techniques, especially for ADC/DAC, but also for PLL, SerDes, DDR, and miscellaneous analogue. Next, seven essential principles of practical analog BIST are discussed, ranging from testing the BIST itself, and adding for precision, to subtracting for accuracy, and generating a digital result. Lastly, we discuss the most practical techniques to use in new DfT and BIST circuitry, ranging from the classic analog test bus, to mostly-digital oversampling and undersampling circuits that improve measurement range, resolution, and reusability, to ultimately optimize quality and cost of test.

 
Tutorial 3 Reconciling the Dichotomy Between Test and Security
Presenters Ramesh KARRI - Polytechnic Institute of New York University (USA), Ozgur SINANOGLU - New York University, Abu Dhabi (VAE)
 

Hardware security is an important optimization objective for designs (similar to power, performance, reliability and testability). We will highlight why hardware security and trust are as important as these other objectives from the economics of security, IP protection and counterfeiting perspectives. We will then describe the simple gotchas when traditional DFT and validation techniques are used (scan chains, JTAG, SoC test, assertion based validation). Next, we will outline how traditional DFT techniques can be used to improve hardware security and trust. Finally, we will present �Design for Trust� approaches that can provide testability without compromising security and trust.

 
Tutorial 4 Testing of TSV-Based 2.5D- and 3D-Stacked ICs � Advanced
Presenters Erik Jan MARINISSEN - IMEC (B), Krishnendu CHAKRABARTY - DUKE UNIVERSITY (USA)
 

Stacked ICs with vertical interconnect containing fine-pitch micro-bumps and through-silicon vias (TSVs) are a hot-topic in design and manufacturing communities. These 2.5D- and 3D-SICs hold the promise of heterogeneous integration, inter-die connections with increased performance at lower power dissipation, and increased yield and hence decreased product cost. However, testing for manufacturing defects remains an obstacle and potential showstopper before 3D-SICs can become a reality. There are concerns about the cost or, even worse, feasibility of testing such TSV-based 3D chips. In this advanced tutorial, we present an overview of 3D technology, terminology, and benefits, and provide detailed coverage of 2.5D/3D test challenges and emerging solutions. Attendees are expected to have some familiarity with and understanding of 2.5D/3D test techniques. Topics to be covered include: (i) 3D test access architectures with emphasis on logic-on-logic chips, memory-on-logic chips with JEDEC wide-I/O, DfT for 2.5D chips, and EDA flows and industry case studies for automated DfT insertion and test generation; (ii) DfT optimization and repair techniques, including 2.5D ICs and memory stacks; (iii) innovations in wafer probing and alternatives to probing for pre-bond test; (iv) 3D test-cost modeling and test-flow selection.

 
Tutorial 5 Clock and Serial Data Communication Channel Testing
Presenter Gordon ROBERTS - McGill University (CND)
 

Many of today�s electronic devices communicate with other devices using a highspeed asynchronous serial links such as: Ethernet, USB, Firewire, PCI-Express, XAUI, SONET, SAS, etc. Such devices make use of a serializer-deserializer transmission scheme called SerDes. In the past, device-to-device communications operated on a synchronous clocking scheme, such as the standard EIA-232 or RS-232 serial bus. While synchronous buses are finding fewer and fewer applications in these data communication applications, they remain the most popular approach for communicating within an IC. This tutorial will begin by describing the attributes of both synchronous clock signals and those signals transmitted asynchronously over a serial channel. In the case of synchronous clock signals, both time and frequency domain descriptions of the clock signal will be described. This includes various time-domain jitter metrics, like periodic jitter and cycle-to-cycle jitter, accumulated jitter, and frequency-domain metrics like phase noise. For asynchronous systems, there is less emphasis on the clock behavior and more emphasis on the quality of the signal transmission expressed in terms of its bit-error rate (BER). While BER is the ultimate performance metric for system engineers, the time required to capture this measure is often too long to perform in production. As a result, alternative approaches are necessary. For the most part, these methods are based on jitter decomposition techniques, i.e., probabilistic methods that describe the underlying structure of the randomness present with the asynchronous signal. Commonly used jitter terms such as random, deterministic and total jitter abbreviated to RJ, DJ and TJ metrics will be described using the concept of a Gaussian mixture model. This tutorial will conclude with a discussion of several DSP-based test techniques used to quantify jitter transmission from the system input to its output. This includes a discussion about jitter transfer function test and a jitter tolerance test. Some discussion of DFT methods for jitter extraction will also be given. These will be presented from a time-domain signal processing perspective.

 
Tutorial 6 Detection Methods for Hardware Trojans and Counterfeit ICs
Presenters Yiorgos MAKRIS - The University of Texas at Dallas (USA), Peilin SONG - IBM, Thomas J. Watson Research Center (USA)
 

Partly because of design outsourcing and migration of fabrication to low-cost areas across the globe, and partly because of increased reliance on third-party intellectual property (3PIP), the integrated circuit (IC) supply chain is now considered far more vulnerable than ever before. Among the related concerns, this tutorial focuses on the problems of hardware Trojans and counterfeit ICs. Malicious circuit modifications, known as hardware Trojans, provide additional functionality which is unknown to the designer and user, but which can be exploited by the perpetrator after deployment to sabotage or incapacitate a chip, or to steal sensitive information. Similarly, counterfeit ICs constitute a growing problem in the semiconductor industry, jeopardizing reliability and robustness of the applications wherein they are deployed. Such ICs can be either used devices which have been reclaimed and reintroduced in the supply chain as new, reverse-engineered, over-produced, or simply fake. This tutorial outlines the challenges and elucidates the various multi-disciplinary research solutions associated with certifying trustworthiness and genuineness of ICs.

 
September 9, 2013 (Monday)
 
Tutorial 7 Delay Test: Concepts, Theory and Recent Trends
Presenters Suriyaprakash NATARAJAN - Intel Corporation (USA), Arani SINHA - Intel Corporation (USA)
 

This tutorial covers fundamental concepts, recent developments and industry practices on validating and testing integrated circuits for speed failures. The intended audience is a combination of semiconductor industry practitioners, EDA technologists, and researchers in digital test. The tutorial starts with a discussion on defects and design marginalities that induce a circuit to fail at its rated speed while passing at a lower speed. This is followed by fault models and fault sensitization conditions, for classical faults such as transition and path delay, and for marginalities such as crosstalk, voltage droop, multiple-input switching and charge-sharing. Subsequently, concepts in test generation and fault simulation for delay faults are discussed. Recent advances in delay test specific DFT techniques, timing-aware metrics for test quality, and techniques to improve DPPM (defective parts per million) and reduce yield loss, are described. Finally, industry case studies that apply delay test concepts in post-silicon validation, speed binning and in-field reliability are discussed.

 
Tutorial 8 Hierarchical Test: Trends, Challenges & Solutions
Presenters Adam CRON � Synopsys (USA), Yervant ZORIAN � Synopsys (USA)
 

Hierarchical design has come a long way in a very short time. The use of multi-level hierarchical test methodologies is, therefore, ramping up. Design teams use these architectures to handle the explosion in design size, liberal use of 3rd-party IPs, and the general use of multi-level hierarchical design (core, block, sub-chip, SOC) methodologies across geographically dispersed corporations and their design partners. To support these types of designs with appropriate DFT, design teams leverage IEEE standards-based methodologies to implement test in a hierarchical manner, across heterogeneous cores types (memories, logic, AMS, interface IP, and legacy cores). These hierarchical DFT design styles support DFT closure, power reductions during test, isolated debug and diagnosis, pattern porting, capacity improvements, and uniform and ubiquitous access. Using IEEE test standards such as 1149.1 and 1500 promote benefits such as these. CTL and STIL support these methodologies. The future P1687 is meant to allow instrumentation access, supported by test portability throughout the device life cycle. This tutorial covers these trends, design styles and methodologies, and how they are facilitated by IEEE test standards.

 
Tutorial 9 Statistical and Adaptive Testing
Presenter Adit SINGH - Auburn University (USA)
 

Integrated circuits have traditionally all been tested identically in the manufacturing flow with little sharing of test results between the different test insertions. However, as the detection of subtle manufacturing flaws becomes ever more challenging and expensive in aggressively scaled nanometer technologies, innovative new statistical screening methods are being developed that attempt to improve test effectiveness and optimize test costs by subjecting �suspect� parts to more extensive testing, and also adaptively bring in additional tests that target the suspected failure modes. Adaptive test methods also support the dynamic reordering of test to achieve early failure detection resulting in significant savings in test application time. This tutorial presents an overview of these emerging test methodologies, and illustrates their effectiveness with results from a number of published experimental studies on volume production digital and analog circuits. Commercial tools offered by some of the new companies that have emerged in the "Adaptive Test" space will also be discussed.

 
Tutorial 10 Noise Following: Circuits and Techniques for Measuring and Dynamically Compensating for Noise Induced Timing Changes
Presenters Alan J DRAKE - IBM Research Austin Lab (USA)
 

Many sources of noise complicate the translation of circuit designs from concept to hardware. Process variation skews timing so that only a percentage of parts reach the desired power/performance targets. Voltage and temperature variation, induced by environmental and workload changes, requires additional operating margin which also affects power/performance. Over time the parts age and degrade, again affecting power/performance. Fortunately, many of these affects occur on a timescale where they can be measured and mitigated with the appropriate circuits. This tutorial will provide circuit level instruction on the design, implementation, testing, and uses of noise following hardware. Topics covered are: noise processes; circuits for measuring and compensating for noise; techniques for dynamic operating point control; and testing, calibration, and qualification challenges. Much of the tutorial will be based on the constant timing margin controller developed in the Power7+ microprocessor with appropriate additions from the current art covering alternative methods.

 
Tutorial 11 High-dependable and fault tolerant designs: trends, principles and solutions
Presenters Dan ALEXANDRESCU - IROC Technologies (FR), Luca STERPONE - Politecnico di Torino (I)
 

Moderns electronic systems are increasingly demanded in safety-critical contexts where reliability and dependability are key factors in exigent Service Level Agreements. To successfully meet such challenges, the electronic devices must be fully qualified, characterized and eventually improved. The objective of this tutorial is to provide useful and practical background information about Single Event Effects (SEEs) affecting the safety of automotive, biomedical and avionic/space applications. An excursus on the SEE terminology, characteristics and metrics for advanced digital logic, including relevant sources for ground level applications will be provided. The tutorial investigates the Soft Error Rate (SER) testing, evaluation and prediction methodologies from process and cell-level fault considerations to the higher-abstraction system-level failure effects, including the evaluation of quantitative parameters such as SER, Failure-in-Time (FIT) and Byte Error Rate (BER) to qualitative parameters (failure criticality, SDC, etc). Furthermore, various approaches, recommendations and strategies are discussed to help the designer implement high-quality circuits and systems. Dedicated process and standard-cell level techniques such as rad-hard cells, DICE, cell layout improvement, will be presented in addition to circuit-level approaches: SIHFT, processor Lock-step, DWC, TMR, selective-TMR and many others. The presentation will naturally follow with overall reliability-improvement methods (SEE-aware synthesis, map, place and route strategies), dedicated tool chains, high-level (system, software, protocol techniques). Finally, all the technological and methodology aspects are discussed in relation to concrete and practical examples of industrial-case studies including (but not limited to): Xilinx SRAM-based and Microsemi Flash-based FPGAs for space and avionics, NVIDIA GP-GPUs neutron effect analysis, automotive Pirelli CyberTyre system, high-reliability network designs and General Motors (GM) engine control units.

 
Tutorial 12 Testing Low-Power Integrated Circuits: Challenges, Solutions, and Industry Practices
Presenters Srivaths RAVI - Texas Instruments (USA), Vivek CHICKERMANE - Cadence Design Systems (USA), Krishna CHAKRAVADHANULA - Cadence Design Systems (USA)
 

The push for portable, battery-operated, and �cool-and-green� electronics has elevated power consumption as the defining metric of integrated circuit (IC) design. Testing ICs built for such applications requires judicious consideration of test power implications on various aspects of the design cycle (e.g., packaging and power grid design), test engineering (multi-site ATE power supply limitations and board design), power-aware test planning (DFT and ATPG), and developing the enabling EDA tool infrastructure (SW for estimation, reduction and low-power test generation). Physically-aware low-power test techniques are also becoming important for accuracy and hot-spot minimization, especially for designs at 22nm and below. Furthermore, with power optimization and power management techniques becoming �de-facto� in almost all 45nm and lower chips, systematic testing of these structures and the device in the presence of these structures becomes mandatory. This tutorial is intended to provide an overview of low-power IC testing covering (a) dimensions of power-aware testing, (b) methods for test power analysis and signoff, (c) techniques for controlling test power consumption and (d) test of power managed designs. Case-studies illustrating industrial design deployment practices and existing EDA vendor support will also be outlined.

 
Tutorial 13 Advanced Memory BIST and Repair in Nanometer Era
Presenters Yervant Zorian � Synopsys (USA)
 

With the wide adoption of nanometer technologies and increasing amount of embedded memories, it has become crucial for today�s SOCs to use advanced memory test and repair solutions. These solutions provide comprehensive detection of not only random defects, but also systematic and process variation defects often manifested under unique test corners. Moreover, with the adoption of FinFET technologies, these advanced solutions are extended to cover new FinFET specific defects. This tutorial, besides addressing these defect detection topics, will cover an end-to-end BIST and repair architecture to handle tens of thousands of embedded memory instances in today�s SOC, while taking into account power management constraints, functional timing implications, test scheduling flexibility, and area minimization options. With the proliferation of high-density packaging technologies, such as 2.5D and 3D-ICs, this tutorial will also cover testing and diagnosis external memory dies and interconnects, via an advanced BIST engine residing in the neighboring SOC die, in same high-density package. This tutorial will also address post-silicon analysis and yield optimization trade-offs using volume diagnostic, failure coordinate calculation, defect classification, reconfiguration and repair.

 
Tutorial 14 Data Mining In Test and Verification - Principles and Practices
Presenters Li-C. WANG - University of California, Santa Barbara (USA), Magdy S. ABADIR - Freescale Semiconductor (USA)
 

This tutorial teaches the principles of various data mining approaches and their respective learning techniques. The approaches include classification, regression, transformation, feature ranking, clustering, novelty detection, rule learning and similarity search. We will illustrate the working principles of various learning techniques such as tree learning, random forest, neural network, support vector machine, Gaussian process, rule learning, etc. We will discuss application examples to illustrate how various learning approaches can be applied in test and verification. The experience of developing a practical data mining flow will be explained. We will show how data mining in practice implements an iterative knowledge discovery process. Promises of this knowledge discovery paradigm will be demonstrated through positive results based on several industrial application settings.

 
Tutorial 15 Collaborative Yield Learning in Advanced Technologies
Presenters Robert MADGE - GLOBALFOUNDRIES (USA)
 

Yield has become the defining metric for success and failure for Semiconductor Manufacturing at leading edge technologies. The yield challenge has largely been driven the confluence of the design complexity and the advanced process and packaging technologies/materials. In addition, the factory (Fab) environment and the equipment toolset have also become significant contributors to the yield equation. The solution requires a strong collaborative teamwork approach involving Designer/customer, Equipment provider and the Factory engineering and operations teams to ensure first time yield success, product quality and profitability.

 
Tutorial 16 System Level Test and Diagnosis
Presenters Bill EKLOW - Cisco Systems (USA)
 

As components continue to scale with Moore�s Law, complexity scales as well. Given that a system is comprised of a hierarchy of sub-systems, where components are at the bottom of the hierarchy, one could claim that at a system level scaling is exponential to Moore�s Law. A �system� can be anything that is in between an iPhone and IBM�s Watson. While these two �systems� seem quite diverse, there are plenty of similarities from a test perspective. This tutorial will begin with a description of what exactly is a �system� and what are the elements that comprise a system (including software). We will talk about the hierarchical architecture of a system and the test process around that hierarchy. The majority of the tutorial will focus on testing and diagnosis at the system level (both functional and application based testing). This will include both online and offline testing, as well as validation testing, production testing and reliability testing. The tutorial will look at both traditional and innovative test techniques.

 
For more information, visit us on the web at: http://ttep.tttc-events.org/ttep/index.html

The Test Technology Educational Program 2013 (TTEP 2013) is sponsored by the Institute of Electrical and Electronics Engineers (IEEE) Computer Society's Test Technology Technical Council (TTTC).


IEEE Computer Society- Test Technology Technical Council

TTTC CHAIR
Michael NICOLAIDIS
TIMA Laboratory - France
Tel. +33-4-765-74696
E-mail michael.nicolaidis@imag.fr

PAST CHAIR
Adit D. SINGH
Auburn University - USA
Tel. +1-334-844-1847
E-mail adsingh@eng.auburn.edu

TTTC 1ST VICE CHAIR
Cecilia METRA
Università di Bologna - Italy
Tel. +39-051-209-3038
E-mail cmetra@deis.unibo.it

SECRETARY
Joan FIGUERAS
UPC Barcelona Tech - Spain
Tel. +
E-mail figueras@eel.upc.edu

ITC GENERAL CHAIR
Doug YOUNG
BVC Industrial - USA
Tel. +1-602-617-0393
E-mail doug0037@aol.com

TEST WEEK COORDINATOR
Yervant ZORIAN
Synopsys, Inc.- USA
Tel. +1-650-584-7120
E-mail Yervant.Zorian@synopsys.com

TUTORIALS AND EDUCATION
Dimitris GIZOPOULOS

University of Athens
- Greece
Tel. +30-210-7275145
E-mail dgizop@di.uoa.gr

STANDARDS
Rohit KAPUR

Synopsys
, Inc. - USA
Tel. +1-650-934-1487
E-mail rkapur@synopsys.com

EUROPE
Matteo SONZA REORDA
Politecnico di Torino - Italy
Tel.+39-011-564-7055
E-mail matteo.sonzareorda@polito.it

MIDDLE EAST & AFRICA
Ibrahim HAJJ
American University of Beirut - Lebanon
Tel. +961-1-341-952
E-mail ihajj@aub.edu.lb

STANDING COMMITTEES
André IVANOV
University of British Columbia - Canada
Tel. +1-604-822-6936
E-mail ivanov@ece.ubc.ca

ELECTRONIC MEDIA
Giorgio DI NATALE
LIRMM - France
Tel. +33-4-6741-8501
E-mail giorgio.dinatale@lirmm.fr

 

PRESIDENT OF BOARD
Yervant ZORIAN
Synopsys, Inc.- USA
Tel. +1-650-584-7120
E-mail Yervant.Zorian@synopsys.com

SENIOR PAST CHAIR
André IVANOV
University of British Columbia - Canada
Tel. +1-604-822-6936
E-mail ivanov@ece.ubc.ca

TTTC 2ND VICE CHAIR
Rohit KAPUR
Synopsys
, Inc. - USA
Tel. +1-650-934-1487
E-mail rkapur@synopsys.com

FINANCE
Michael NICOLAIDIS
TIMA Laboratory - France
Tel. +33-4-765-74696
E-mail michael.nicolaidis@imag.fr

IEEE DESIGN & TEST EIC
Krish CHAKRABARTY
Duke University - USA
Tel. +1-
E-mail krish@ee.duke.edu

TECHNICAL MEETINGS
Chen-Huan CHIANG
Alcatel-Lucent
- USA
Tel. +1-973-386-6759
E-mail chen-huan.chiang@alcatel-lucent.com

TECHNICAL ACTIVITIES
Patrick GIRARD
LIRMM – France
Tel.+33 467 418 629
E-mail patrick.girard@lirmm.fr

ASIA & PACIFIC
Kazumi HATAYAMA
NAIST - Japan
Tel. +81 743 72 5221
E-mail k-hatayama@is.naist.jp

LATIN AMERICA
Victor Hugo CHAMPAC
Instituto Nacional de Astrofisica - Mexico
Tel.+52-22-470-517
E-mail champac@inaoep.mx

NORTH AMERICA
André IVANOV
University of British Columbia - Canada
Tel. +1-604-822-6936
E-mail ivanov@ece.ubc.ca

COMMUNICATIONS
Cecilia METRA
Università di Bologna - Italy
Tel. +39-051-209-3038
E-mail cmetra@deis.unibo.it

INDUSTRY ADVISORY BOARD
Yervant ZORIAN
Synopsys, Inc.- USA
Tel. +1-650-584-7120
E-mail Yervant.Zorian@synopsys.com